[lnkForumImage]
TotalShareware - Download Free Software

Confronta i prezzi di migliaia di prodotti.
Asp Forum
 Home | Login | Register | Search 


 

Forums >

pl.comp.programming

ISE8.1 symulacja

Artur

8/25/2007 7:04:00 PM

Dopiero zaczynam z logik? programowaln? i mam taki problem z symulacj? w
ISE8.1i
Tworze prosty element w VHDL-u,o taki:

ENTITY cnt IS
PORT
(
clock: IN STD_LOGIC;
sload: IN STD_LOGIC;
data: IN integer RANGE 0 TO 31;
result: OUT integer RANGE 0 TO 31
);
END cnt;

ARCHITECTURE rtl OF cnt IS
SIGNAL result_reg : integer RANGE 0 TO 31;
BEGIN
PROCESS (clock)
BEGIN
IF (clock'event AND clock = '1') THEN
IF (sload = '1') THEN
result_reg <= data;
ELSE
result_reg <= result_reg + 1;
END IF;
END IF;
END PROCESS;

result <= result_reg;
END rtl;

Tworze "Schematic Symbol", wrzucam ten element jako jedyny na schemat,
pod3?czam I/O markery, prze3?czam na "Bechavioral Simulation", tworze nowe
?ród3o "Test Bench WaveForm", wybieram zegar dla odpowiedniego wej?cia i
otrzymuje na wszystkich wyj?ciach linie proste...
Jak wybiore jaki? licznik z tych gotowych z biblioteki symulacja dzia3a.
Co robie ?le?
--
Artur